39 গুরুত্বপূর্ণ VLSI, VHDL এবং ভেরিলগ ইন্টারভিউ প্রশ্নোত্তর

ভিএলএসআই, ভিএইচডিএল, ভেরিলগ ইন্টারভিউ প্রশ্ন

1. VHDL এর সম্পূর্ণ মেয়াদ দিন।

  1. অত্যন্ত উচ্চ সংজ্ঞা ভাষা
  2. খুব হাই স্পিড ইন্টিগ্রেশন হার্ডওয়্যার বর্ণনা ভাষা
  3. খুব উচ্চ বর্ণনা ভাষা
  4. খুব উচ্চ গতির স্কেলিং হার্ডওয়্যার বর্ণনাকারী ভাষা

উত্তর: 2) খুব উচ্চ গতির ইন্টিগ্রেশন হার্ডওয়্যার বর্ণনা ভাষা

মৌলিক VHDL টিউটোরিয়ালের জন্য, এখানে ক্লিক করুন!

2. একটি দ্বি-পরিপূরক ধাতব অক্সাইড সেমিকন্ডাক্টর NOR গেট তৈরি করতে কতগুলি মেটাল অক্সাইড ফাইলড ইফেক্ট ট্রানজিস্টর প্রয়োজন যার দুটি ইনপুট আছে?

  1. 5 MOSFET
  2. 6 MOSFET
  3. 7 MOSFET
  4. 8 MOSFET

উত্তর: 3) 7 MOSFET

"ভিএলএসআইতে কীভাবে একটি লজিক গেট ডিজাইন করা হয়েছে?" উত্তরটি খুঁজে বের করুন এখানে!

3. পাওয়ার সাপ্লাই ভোল্টেজ বাড়লে 'বিলম্ব'-এর প্রভাব কী?

  1. বৃদ্ধি
  2. কমে যায়
  3. একই রয়ে গেছে
  4. বিলম্বের সাথে বিদ্যুৎ সরবরাহের কোনো সম্পর্ক নেই।

উত্তর: 2) হ্রাস পায়

4. কোনটি VLSI ডিজাইন সম্পর্কে সত্য?

  1. VLSI হল একটি অনুক্রমিক প্রক্রিয়া যার ফিডব্যাক লুপ রয়েছে।
  2. ভিএলএসআই একটি সমান্তরাল প্রক্রিয়া যার কোনো ফিডব্যাক লুপ নেই।
  3. VLSI হল ক্রমিক এবং সমান্তরাল উভয় প্রক্রিয়া যার ফিডব্যাক লুপ রয়েছে।
  4. ভিএলএসআই একটি অনুক্রমিক প্রক্রিয়া যার কোনো ফিডব্যাক লুপ নেই।

উত্তর: 3) VLSI হল অনুক্রমিক এবং সমান্তরাল উভয় প্রক্রিয়া যার ফিডব্যাক লুপ রয়েছে।

ভেরিলগ ইন্টারভিউ প্রশ্ন এবং ভিএলএসআই ডিজাইনের মতো অন্যান্য বিষয় সম্পর্কে আরও বিস্তারিত জানার জন্য, এটা যাচাই কর!

5. VLSI ডিজাইনে CAD টুলের ব্যবহার কি?

  1. এটি ভিএলএসআই ডিজাইনকে স্বয়ংক্রিয় করে।
  2. এটি ডিজাইন চক্রের সময় হ্রাস করে।
  3. এটি ত্রুটির সম্ভাবনা হ্রাস করে।
  4. উপরের সবগুলো.

উত্তর: 4) উপরের সব.

6. FPGA ভিত্তিক ডিজাইনের জন্য কোন ধরনের পণ্য বেশি উপযুক্ত?

  1. বড় স্কেল পণ্য উন্নয়ন.
  2. উচ্চ গতির অ্যাপ্লিকেশন।
  3. প্রোটোটাইপ বিকাশ।
  4. কম শক্তি অ্যাপ্লিকেশন.

উত্তর: 3) প্রোটোটাইপ উন্নয়ন।

ভেরিলগ কি? সিস্টেম ভেরিলগ কি? এবং অন্যান্য ভেরিলগ ইন্টারভিউ প্রশ্ন ও উত্তর হল এখানে!

7. আন্তঃসংযোগ বিলম্ব এবং গেট বিলম্বের মধ্যে সম্পর্ক কি?

  1. সম্পর্ক প্রযুক্তি নির্ভর।
  2. গেট বিলম্ব সবসময় আন্তঃসংযোগ বিলম্বের চেয়ে বেশি।
  3. আন্তঃসংযোগ বিলম্ব সবসময় গেট বিলম্বের চেয়ে বেশি.
  4. তারা একই।

উত্তর: 1) সম্পর্ক প্রযুক্তি নির্ভর।

8. সত্য বা মিথ্যা রাজ্য

বিবৃতি: একটি Y চার্টের জন্য, কেন্দ্র থেকে পরিধিতে স্থানান্তরিত হলে নকশার তথ্যের বিবরণ বৃদ্ধি পায়।

  1. সত্য
  2. মিথ্যা

উত্তর: (2)। মিথ্যা

9. কেন একটি ছোট চ্যানেল ডিভাইস পছন্দ করা হয়?

  1. এটি তৈরি করা সহজ।
  2. এটির শক্তি খরচ কম।
  3. এর উচ্চ গতি আছে।
  4. এটির আরও ভাল আউটপুট বৈশিষ্ট্য রয়েছে।

উত্তর: 3) এটির উচ্চ গতি রয়েছে।

10. MOSFET-এর সাবথ্রেশহোল্ড অপারেশন কোথায় অ্যাপ্লিকেশন খুঁজে পায়?

  1. স্মৃতি।
  2. সংযুক্ত ডিভাইস চার্জ করুন.
  3. বায়োমেডিকাল অ্যাপ্লিকেশন।
  4. উপরের কেউই না.

উত্তর: 3) বায়োমেডিকেল অ্যাপ্লিকেশন।

IMG24

আপনার প্রথম করুন ভিএইচডিএল প্রকল্পের !

এখানে ক্লিক করুন!

ভিএলএসআই, ভিএইচডিএল, ভেরিলগ ইন্টারভিউ প্রশ্ন, ছবি – ১

11. MOSFET এর ON-resistance এবং gate to source voltage (Vgs) এর মধ্যে সম্পর্ক কি?

  1. অন-প্রতিরোধ Vgs এর সাথে রৈখিকভাবে বৃদ্ধি পায়।
  2. অন-প্রতিরোধ Vgs এর সাথে রৈখিকভাবে হ্রাস পায়।
  3. অন-প্রতিরোধ Vgs এর সাথে দ্রুতগতিতে বৃদ্ধি পায়।
  4. অন-রেজিস্ট্যান্স অ-রৈখিকভাবে Vgs এর সাথে হ্রাস পায়।

উত্তর: 4) অন-রেজিস্ট্যান্স অ-রৈখিকভাবে Vgs এর সাথে হ্রাস পায়।

12. একটি EMOSFET-এর থ্রেশহোল্ড ভোল্টেজ কী?

  1. 0 V এর সমান।
  2. 0 V এর কম।
  3. 0 V এর চেয়ে বড়
  4. উপরের কেউই না.

উত্তর: 3) 0 V এর চেয়ে বেশি

13. বিজোড়টি খুঁজে বের করুন।

  1. চ্যানেলের দৈর্ঘ্য মড্যুলেশন
  2. সাবথ্রেশহোল্ড পরিবাহিতা
  3. গরম ক্যারিয়ার প্রভাব.
  4. শরীরের প্রভাব

উত্তর: 4) শরীরের প্রভাব। (অন্যান্য সব বিকল্প হল 2nd আদেশ প্রভাব)।

14. ধ্রুবক ভোল্টেজ স্কেলিং এর জন্য ডোপিং ঘনত্ব কিভাবে পরিবর্তিত হয়?

  1. s এর গুণনীয়ক দ্বারা বৃদ্ধি পায়
  2. s2 এর একটি গুণনীয়ক দ্বারা বৃদ্ধি পায়।
  3. s এর জন্য একটি গুণনীয়ক দ্বারা হ্রাস।
  4. s2 এর জন্য একটি গুণনীয়ক দ্বারা হ্রাস।

উত্তর: 2) s2 এর একটি গুণনীয়ক দ্বারা বৃদ্ধি পায়।

15. সম্পূর্ণ স্কেলিং এর জন্য কিভাবে শক্তি অপচয় ঘটে?

  1. s এর গুণনীয়ক দ্বারা বৃদ্ধি পায়
  2. s2 এর একটি গুণনীয়ক দ্বারা বৃদ্ধি পায়।
  3. s এর জন্য একটি গুণনীয়ক দ্বারা হ্রাস।
  4. s2 এর জন্য একটি গুণনীয়ক দ্বারা হ্রাস।

উত্তর: 3) s2 এর একটি গুণনীয়ক দ্বারা হ্রাস পায়।

16. ধ্রুবক ভোল্টেজ স্কেলিং এর জন্য কিভাবে শক্তি অপচয় হয়?

  1. s এর গুণনীয়ক দ্বারা বৃদ্ধি পায়
  2. s2 এর একটি গুণনীয়ক দ্বারা বৃদ্ধি পায়।
  3. s এর জন্য একটি গুণনীয়ক দ্বারা হ্রাস।
  4. s2 এর জন্য একটি গুণনীয়ক দ্বারা হ্রাস।

উত্তর: 1) s এর গুণনীয়ক দ্বারা বৃদ্ধি পায়।

17. EMOSFET লোডের উপরে ডিপ্লেশন লোড NMOSFET ইনভার্টারের প্রধান সুবিধা কী?

  1. কম শক্তি অপচয়
  2. সহজ বানোয়াট প্রক্রিয়া
  3. তীক্ষ্ণ Vtc রূপান্তর এবং আরও ভাল শব্দ মার্জিন।
  4. উপরের কেউই না.

উত্তর: 3) তীক্ষ্ণ Vtc রূপান্তর এবং আরও ভাল শব্দ মার্জিন।

18. কেন MOSFET-এ গেটের জন্য পলিসিলিকন ব্যবহার করা হয়?

  1. কারণ এটি একটি আধা-ধাতু।
  2. কারণ এতে সিলিকনের সাথে জালির মিল রয়েছে
  3. কারণ এটি তৈরি করা সহজ।
  4. উপরের কেউই না.

উত্তর: 2) কারণ এতে সিলিকনের সাথে জালির মিল রয়েছে।

19. সত্য বা মিথ্যা রাজ্য

বিবৃতি: সম্পূর্ণ স্কেলিংয়ে, বৈদ্যুতিক ক্ষেত্রের মাত্রা ধ্রুবক।

  1. সত্য
  2. মিথ্যা

সমাধান: (1)। সত্য

20. একটি MOSFET বৈদ্যুতিন সংকেতের মেরু বদল সম্পর্কে প্রদত্ত বিবৃতিগুলির মধ্যে কোনটি সত্য?

  1. একটি MOSFET বৈদ্যুতিন সংকেতের মেরু বদল করার জন্য একটি PMOSFET এবং একটি প্রতিরোধকের প্রয়োজন৷
  2. একটি MOSFET বৈদ্যুতিন সংকেতের মেরু বদল করার জন্য একটি NMOSFET এবং একটি প্রতিরোধকের প্রয়োজন৷
  3. দুটি PMOSFET.
  4. দুটি NMOSFET.

উত্তর: 2) একটি MOSFET বৈদ্যুতিন সংকেতের মেরু বদল করার জন্য একটি NMOSFET এবং একটি প্রতিরোধকের প্রয়োজন৷

ছবি 23 1

আপনার প্রথম ভেরিলগ প্রকল্প তৈরি করুন!

এখানে ক্লিক করুন!

ভিএলএসআই, ভিএইচডিএল, ভেরিলগ ইন্টারভিউ প্রশ্ন, ছবি – ১

21. কোন বিষয়গুলির উপর, একটি CMOS বৈদ্যুতিন সংকেতের মেরু বদল করার শক্তির অপচয় নির্ভর করে?

  1. সরবরাহকৃত ভোল্টেজ।
  2. NMOSFET এর চ্যানেলের প্রস্থ।
  3. PMOSFET এর চ্যানেলের প্রস্থ।
  4. উপরের সবগুলো.

উত্তর: 1) সরবরাহকৃত ভোল্টেজ

22. সত্য বা মিথ্যা রাজ্য

বিবৃতি: পিএমওএস ট্রানজিস্টরগুলি সিএমওএস ইনভার্টারে পুল-আপ নেটওয়ার্ক হিসাবে কাজ করে।

  1. সত্য
  2. মিথ্যা

সমাধান: (1)। সত্য

23. বর্তমান মিরর সার্কিটের আদর্শ পরিস্থিতিকে বিচ্যুত করতে নিচের কোন প্রভাবের কোন অবদান নেই?

  1. DIBL প্রভাব।
  2. দুই ট্রানজিস্টরের মধ্যে থ্রেশহোল্ড অফসেট
  3. চ্যানেলের দৈর্ঘ্য মড্যুলেশন
  4. অসম্পূর্ণ জ্যামিতিক মিল।

উত্তর: 1) DIBL প্রভাব।

24. ASIC সেল লাইব্রেরিতে কী থাকে?

  1. কোষের ভৌত বিন্যাস
  2. কোষের রাউটিং মডেল
  3. কোষের টাইমিং মডেল
  4. উপরের সবগুলো.

উত্তর: 1) কোষের ভৌত বিন্যাস।

25. কেন একটি গেট দিয়ে সর্বনিম্ন বংশবৃদ্ধি বিলম্বিত হয়?

  1. কারণে - শক্তিশালী ট্রানজিস্টর, উচ্চ তাপমাত্রা, উচ্চ ভোল্টেজ।
  2. কারণে - শক্তিশালী ট্র্যান্সিস্টর, নিম্ন তাপমাত্রা, উচ্চ ভোল্টেজ.
  3. কারণে - দুর্বল ট্রানজিস্টর, উচ্চ তাপমাত্রা, উচ্চ ভোল্টেজ।
  4. কারণে - দুর্বল ট্রানজিস্টর, নিম্ন তাপমাত্রা, কম ভোল্টেজ।

উত্তর: 3) কারণে - দুর্বল ট্রানজিস্টর, উচ্চ তাপমাত্রা, উচ্চ ভোল্টেজ।

26. নিচের কোনটি VLSI লজিক ডিজাইন সম্পর্কে সত্য?

  1. VLSI এলাকা এবং বিলম্ব কম করে
  2. VLSI বিলম্বের খরচে এলাকাটিকে ছোট করে
  3. VLSI ক্ষেত্রফল কমিয়ে গতি বাড়ায়
  4. VLSI এলাকা কমিয়ে বিলম্ব কম করে

উত্তর: 2) VLSI বিলম্বের খরচে এলাকাটিকে ছোট করে।

27. হার্ড ম্যাক্রো কি?

  1. নমনীয় ব্লক
  2. ফিক্সড ব্লক
  3. একটি নির্দিষ্ট আকৃতির অনুপাত সহ নমনীয় ব্লক
  4. নমনীয় আকৃতির অনুপাত সহ নমনীয় ব্লক

উত্তর: 2) স্থির ব্লক

28. সত্য বা মিথ্যা রাজ্য

বিবৃতি: SPICE-এর পূর্ণ রূপ হল – ইন্টিগ্রেটেড সার্কিট জোর সহ সিমুলেশন প্রোগ্রাম।

  1. সত্য
  2. মিথ্যা

সমাধান: (1)। সত্য

29. CMOS তুলনাকারীর জন্য সমতুল্য সার্কিট কি?

  1. অপরিশোধিত CMOS OPAMP।
  2. ক্ষতিপূরণ CMOS OPAMP.
  3. আংশিক ক্ষতিপূরণ CMOS OPAMP.
  4. উপরের কোনটিই সত্য নয়।

উত্তর: 1) ক্ষতিপূরণবিহীন CMOS OPAMP।

30. একটি সুইচড ক্যাপাসিটরের সমতুল্য রোধ এবং ঘড়ির কম্পাঙ্কের মধ্যে সম্পর্ক কী?

  1. প্রতিরোধ ঘড়ির কম্পাঙ্কের সমানুপাতিক।
  2. রেজিস্ট্যান্স ক্লক ফ্রিকোয়েন্সির বিপরীতভাবে সমানুপাতিক।
  3. রোধ ঘড়ির কম্পাঙ্কের বর্গক্ষেত্রের সমানুপাতিক।
  4. রোধটি ঘড়ির কম্পাঙ্কের বর্গের বিপরীতভাবে সমানুপাতিক।

উত্তর: 2) প্রতিরোধ ঘড়ির কম্পাঙ্কের বিপরীতভাবে সমানুপাতিক।

ভিএলএসআই ঘ

30 সবচেয়ে গুরুত্বপূর্ণ এবং প্রায়শই জিজ্ঞাসিত VLSI ইন্টারভিউ প্রশ্ন! এখানে ক্লিক করুন!

ভিএলএসআই, ভিএইচডিএল, ভেরিলগ ইন্টারভিউ প্রশ্ন, ছবি – ১

31. একটি সুইচড ক্যাপাসিটরের সমতুল্য রোধ এবং ক্যাপাসিট্যান্সের মধ্যে সম্পর্ক কী?

  1. প্রতিরোধ ক্ষমতা ক্যাপাসিট্যান্সের সমানুপাতিক।
  2. প্রতিরোধ ক্ষমতা ক্যাপাসিট্যান্সের বিপরীতভাবে সমানুপাতিক।
  3. রোধ ক্যাপাসিট্যান্সের বর্গক্ষেত্রের সমানুপাতিক।
  4. রোধ ক্যাপাসিট্যান্সের বর্গক্ষেত্রের বিপরীতভাবে সমানুপাতিক।

উত্তর: 2) রোধ ক্যাপাসিট্যান্সের বিপরীতভাবে সমানুপাতিক।

32. ডিফিউশন কারেন্ট দ্বারা আধিপত্যের শর্ত কী?

  1. স্ট্রং ইনভার্সন
  2. দুর্বল ইনভার্সন
  3. শক্তিশালী এবং দুর্বল উভয় বিপরীত.
  4. নির্ণয় করা যায় না।

উত্তর: 2) দুর্বল বিপরীতমুখী।

33. ড্রিফ্ট কারেন্ট দ্বারা আধিপত্যের শর্ত কী?

  1. স্ট্রং ইনভার্সন
  2. দুর্বল ইনভার্সন
  3. শক্তিশালী এবং দুর্বল উভয় বিপরীত.
  4. নির্ণয় করা যায় না।

উত্তর: 1) শক্তিশালী বিপরীত

34. সত্য বা মিথ্যা রাজ্য

বিবৃতি: ক্যাসকোড বর্তমান আয়নায়, আউটপুট প্রতিরোধের বৃদ্ধি করা হয়।

  1. সত্য
  2. মিথ্যা

সমাধান: (1)। সত্য

35. সত্য বা মিথ্যা রাজ্য

বিবৃতি: একটি বর্তমান মিরর সার্কিট মিরর করা এবং উৎস MOSFET এর (W/L) অনুপাত বাড়িয়ে বর্তমান পরিবর্ধক হিসাবে ব্যবহার করা যেতে পারে

  1. সত্য
  2. মিথ্যা

সমাধান: (1)। সত্য

36. PDN-এ NMOS-এর কোন সংযোগগুলি, AND শর্তগুলি উপলব্ধি করতে সাহায্য করে?

  1. ক্যাসকেড সংযোগ
  2. বিরোধী - সমান্তরাল সংযোগ
  3. সিরিজ সংযোগগুলি
  4. সমান্তরাল সংযোগগুলি

উত্তর: 3) সিরিজ সংযোগ

37. কোন ধরনের ট্রানজিস্টর লজিক-উচ্চ মান পুরোপুরি পাস করতে পারে, কিন্তু লজিক-নিম্ন মান নয়?

  1. এনএমওএসএফইটি
  2. PMOSFET
  3. সিএমওএস
  4. উপরের কেউই না

উত্তর: 2) PMOSFET

38. একটি XOR গেট ডিজাইন করার জন্য ন্যূনতম কত সংখ্যক ট্রানজিস্টর প্রয়োজন?

  1. তিন
  2. চার
  3. পাঁচ
  4. ছয়

উত্তর: 4) ছয়

39. কোন ধরনের লজিক ডিজাইন সর্বনিম্ন প্রচার বিলম্ব প্রদান করে?

  1. ইমিটার কাপলড লজিক
  2. ট্রানজিস্টর ট্রানজিস্টর লজিক
  3. রেজিস্টার ট্রানজিস্টর লজিক
  4. ডায়োড ট্রানজিস্টর লজিক

উত্তর: 1) ইমিটার কাপলড লজিক

40. সত্য বা মিথ্যা রাজ্য

বিবৃতি: ডাইনামিক CMOS লজিক দুটি অ ওভারল্যাপিং ক্লক পালস ব্যবহার করে কাজ করে।

  1. সত্য
  2. মিথ্যা

সমাধান: (2)। মিথ্যা।

আরও ভিএলএসআই সম্পর্কিত বিষয় এবং ভেরিলগ সাক্ষাত্কারের প্রশ্নের জন্য এখানে ক্লিক করুন